搜尋概念
|
已選取的篩選器
|
搜尋結果
-
A 60-GHz millimeter-wave CMOS Marchand balun
Liu, J. X., Hsu, C. Y., Chuang, H. R. & Chen, C. Y., 2007 10月 2, Proceedings of the 2007 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2007. p. 445-448 4 p. 4266468. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium).研究成果: Conference contribution
49 引文 斯高帕斯(Scopus) -
A 60-GHz Millimeter-wave cmos marchand balun using 0.18-μm cmos technology
Liu, C. H., Hsu, C. Y., Chuang, H. R. & Chen, C. Y., 2009 3月, 於: Microwave and Optical Technology Letters. 51, 3, p. 766-770 5 p.研究成果: Article › 同行評審
2 引文 斯高帕斯(Scopus) -
A 60 GHz millimeter-wave CMOS RFIC-on-chip dipole antenna
Chuang, H. R., Kuo, S. W., Lin, G. G. & Kuo, L. C., 2007 1月 1, Microwave Journal, 50, 1, p. 144-152 9 p.研究成果: Article
12 引文 斯高帕斯(Scopus) -
A 60-GHz millimeter-wave CMOS RFIC-on-chip meander-line planar inverted-F antenna for WPAN applications
Guo, P. J. & Chuang, H. R., 2008, 2008 IEEE International Symposium on Antennas and Propagation and USNC/URSI National Radio Science Meeting, APSURSI. 4619464. (2008 IEEE International Symposium on Antennas and Propagation and USNC/URSI National Radio Science Meeting, APSURSI).研究成果: Conference contribution
55 引文 斯高帕斯(Scopus) -
A 60-GHz millimeter-wave CMOS RFIC-on-chip triangular monopole antenna for WPAN applications
Lin, C. C., Hsu, S. S., Hsu, C. Y. & Chuang, H. R., 2007 12月 1, 2007 IEEE Antennas and Propagation Society International Symposium, AP-S. p. 2522-2525 4 p. 4396047. (IEEE Antennas and Propagation Society, AP-S International Symposium (Digest)).研究成果: Conference contribution
29 引文 斯高帕斯(Scopus) -
A 60-GHz millimeter-wave CPW-fed Yagi antenna fabricated by using 0.18-μ CMOS technology
Hsu, S. S., Wei, K. C., Hsu, C. Y. & Ru-Chuang, H., 2008 6月, 於: IEEE Electron Device Letters. 29, 6, p. 625-627 3 p.研究成果: Article › 同行評審
173 引文 斯高帕斯(Scopus) -
A 60-GHz millimeter-wave triangular monopole antenna fabricated using 0.18-μm CMOS technology
Kuo, P. C., Hsu, S. S., Lin, C. C., Hsu, C. Y. & Chuang, H. R., 2008 9月 30, 3rd International Conference on Innovative Computing Information and Control, ICICIC'08. 4603426. (3rd International Conference on Innovative Computing Information and Control, ICICIC'08).研究成果: Conference contribution
22 引文 斯高帕斯(Scopus) -
A 60Mb/s wideband BCC transceiver with 150pJ/b RX and 31pJ/b TX for emerging wearable applications
Lee, J., Kulkarni, V. V., Ho, C. K., Cheong, J. H., Li, P., Zhou, J., Da Toh, W., Zhang, X., Gao, Y., Cheng, K. W., Liu, X. & Je, M., 2014, 2014 IEEE International Solid-State Circuits Conference, ISSCC 2014 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 498-499 2 p. 6757529. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 卷 57).研究成果: Conference contribution
44 引文 斯高帕斯(Scopus) -
A 6-10-GHz CMOS power amplifier with an inter-stage wideband impedance transformer for UWB transmitters
Chung, H. W., Hsu, C. Y., Yang, C. Y., Wei, K. F. & Chuang, H. R., 2008 12月 1, Proceedings of the 38th European Microwave Conference, EuMC 2008. p. 305-308 4 p. 4751449. (Proceedings of the 38th European Microwave Conference, EuMC 2008).研究成果: Conference contribution
42 引文 斯高帕斯(Scopus) -
A 62-minute orbital period black widow binary in a wide hierarchical triple
Burdge, K. B., Marsh, T. R., Fuller, J., Bellm, E. C., Caiazzo, I., Chakrabarty, D., Coughlin, M. W., De, K., Dhillon, V. S., Graham, M. J., Rodríguez-Gil, P., Jaodand, A. D., Kaplan, D. L., Kara, E., Kong, A. K. H., Kulkarni, S. R., Li, K. L., Littlefair, S. P., Majid, W. A., Mróz, P., 及其他13 , 2022 5月 5, 於: Nature. 605, 7908, p. 41-45 5 p.研究成果: Article › 同行評審
9 引文 斯高帕斯(Scopus) -
A 64-week, multicenter, open-label study of aripiprazole effectiveness in the management of patients with schizophrenia or schizoaffective disorder in a general psychiatric outpatient setting
Hsieh, M. H., Lin, W. W., Chen, S. T., Chen, K. C., Chen, K. P., Chiu, N. Y., Huang, C., Chang, C. J., Lin, C. H. & Lai, T. J., 2010 9月 17, 於: Annals of General Psychiatry. 9, 35.研究成果: Article › 同行評審
開啟存取12 引文 斯高帕斯(Scopus) -
A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic
Liu, Y., Wang, Z., Lee, A., Su, F., Lo, C. P., Yuan, Z., Lin, C. C., Wei, Q., Wang, Y., King, Y. C., Lin, C. J., Khalili, P., Wang, K. L., Chang, M. F. & Yang, H., 2016 2月 23, 2016 IEEE International Solid-State Circuits Conference, ISSCC 2016. Institute of Electrical and Electronics Engineers Inc., p. 84-86 3 p. 7417918. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 卷 59).研究成果: Conference contribution
98 引文 斯高帕斯(Scopus) -
A 65-nm ReRAM-Enabled Nonvolatile Processor with Time-Space Domain Adaption and Self-Write-Termination Achieving $> 4× Faster Clock Frequency and $> 6× Higher Restore Speed
Wang, Z., Liu, Y., Lee, A., Su, F., Lo, C. P., Yuan, Z., Li, J., Lin, C. C., Chen, W. H., Chiu, H. Y., Lin, W. E., King, Y. C., Lin, C. J., Khalili Amiri, P., Wang, K. L., Chang, M. F. & Yang, H., 2017 10月, 於: IEEE Journal of Solid-State Circuits. 52, 10, p. 2769-2785 17 p., 8003272.研究成果: Article › 同行評審
9 引文 斯高帕斯(Scopus) -
A 6-band sub-band coder on ECG waveforms
Tai, S-C., 1991 1月 1, Proceedings - 1991 IEEE International Symposium on Information Theory, ISIT 1991. Institute of Electrical and Electronics Engineers Inc., 1 p. 695433. (IEEE International Symposium on Information Theory - Proceedings).研究成果: Conference contribution
-
A 6-bit 1GS/s low-power flash ADC
Lien, Y. C., Lin, Y. Z. & Chang, S-J., 2009 12月 1, 2009 International Symposium on VLSI Design, Automation and Test, VLSI-DAT '09. p. 211-214 4 p. 5158132. (2009 International Symposium on VLSI Design, Automation and Test, VLSI-DAT '09).研究成果: Conference contribution
7 引文 斯高帕斯(Scopus) -
A 6-bit 220-MS/s time-interleaving SAR ADC in 0.18-μm digital CMOS process
Liu, C. C., Huang, Y. T., Huang, G. Y., Chang, S. J., Huang, C. M. & Huang, C. H., 2009, 2009 International Symposium on VLSI Design, Automation and Test, VLSI-DAT '09. p. 215-218 4 p. 5158133. (2009 International Symposium on VLSI Design, Automation and Test, VLSI-DAT '09).研究成果: Conference contribution
13 引文 斯高帕斯(Scopus) -
A 6-Bit 2-GS/s flash aanlog-to-digital converter in 0.18-μm CMOS process
Lin, Y. Z., Liu, Y. T. & Chang, S. J., 2006, p. 351-354. 4 p.研究成果: Paper › 同行評審
5 引文 斯高帕斯(Scopus) -
A 6-bit current-steering DAC with compound current cells for both communication and rail-to-rail voltage-source applications
Chen, R. L. & Chang, S. J., 2012, 於: IEEE Transactions on Circuits and Systems II: Express Briefs. 59, 11, p. 746-750 5 p., 6341058.研究成果: Article › 同行評審
14 引文 斯高帕斯(Scopus) -
A 7.2mW quadrature GPS receiver in 0.13μm CMOS
Cheng, K. W., Natarajan, K. & Allstot, D., 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2009. Institute of Electrical and Electronics Engineers Inc., p. 422-424 3 p. 4977488. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).研究成果: Conference contribution
32 引文 斯高帕斯(Scopus) -
A 7.9 GHz low-power PMOS colpitts VCO using the gate inductive feedback
Hou, J. A. & Wang, Y. H., 2010 4月, 於: IEEE Microwave and Wireless Components Letters. 20, 4, p. 223-225 3 p., 5428805.研究成果: Article › 同行評審
24 引文 斯高帕斯(Scopus) -
A 70-GHz millimeter-wave compact bandpass filter fabricated using standard 0.18-μm CMOS technology
Hsu, C. Y., Lin, Y. S., Chen, C. Y. & Chuang, H. R., 2008 12月 1, Proceedings of the 38th European Microwave Conference, EuMC 2008. p. 215-217 3 p. 4751426. (Proceedings of the 38th European Microwave Conference, EuMC 2008).研究成果: Conference contribution
4 引文 斯高帕斯(Scopus) -
A 72-dB SNDR 130-MS/s 0.8-mW Pipelined-SAR ADC Using a Distributed Averaging Correlated Level Shifting Ring Amplifier
Wang, J. C. & Kuo, T. H., 2022 12月 1, 於: IEEE Journal of Solid-State Circuits. 57, 12, p. 3794-3803 10 p.研究成果: Article › 同行評審
-
A 75.3-dB SNDR 24-MS/s Ring Amplifier-Based Pipelined ADC Using Averaging Correlated Level Shifting and Reference Swapping for Reducing Errors From Finite Opamp Gain and Capacitor Mismatch
Hung, T. C. & Kuo, T. H., 2019 5月, 於: IEEE Journal of Solid-State Circuits. 54, 5, p. 1425-1435 11 p., 08645697.研究成果: Article › 同行評審
17 引文 斯高帕斯(Scopus) -
A 77-GHz CMOS on-chip bandpass filter using slow-wave stepped-impedance resonators
Lin, H. R., Hsu, C. Y., Yeh, L. K., Chuang, H. R. & Chen, C. Y., 2010 12月 1, 2010 Asia-Pacific Microwave Conference Proceedings, APMC 2010. p. 826-828 3 p. 5728468. (Asia-Pacific Microwave Conference Proceedings, APMC).研究成果: Conference contribution
8 引文 斯高帕斯(Scopus) -
A 77-GHz CMOS on-chip bandpass filter with balanced and unbalanced outputs
Hsu, C. Y., Chen, C. Y. & Chuang, H. R., 2010 11月 1, 於: IEEE Electron Device Letters. 31, 11, p. 1205-1207 3 p., 5585674.研究成果: Article › 同行評審
31 引文 斯高帕斯(Scopus) -
A 77-GHz integrated on-chip Yagi antenna with unbalanced-to-balanced bandpass filter using IPD technology
Chuang, Y. H., Yue, H. L., Hsu, C. Y. & Chuang, H. R., 2011 12月 1, Asia-Pacific Microwave Conference Proceedings, APMC 2011. p. 449-452 4 p. 6173783. (Asia-Pacific Microwave Conference Proceedings, APMC).研究成果: Conference contribution
17 引文 斯高帕斯(Scopus) -
A 77-GHz miniaturized slow-wave SIR bandpass filter fabricated using 0.18-μm standard CMOS technology
Lin, H. R., Hsu, C. Y., Chuang, H. R. & Chen, C. Y., 2012 4月, 於: Microwave and Optical Technology Letters. 54, 4, p. 1063-1066 4 p.研究成果: Article › 同行評審
開啟存取3 引文 斯高帕斯(Scopus) -
A 7b 4.5GS/s 4× Interleaved SAR ADC with Fully On-Chip Background Timing Skew Calibration
Wang, Y. H. & Chang, S. J., 2023, 2023 IEEE International Solid-State Circuits Conference, ISSCC 2023. Institute of Electrical and Electronics Engineers Inc., p. 274-276 3 p. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; 卷 2023-February).研究成果: Conference contribution
1 引文 斯高帕斯(Scopus) -
A 7 Gb/s half-rate clock and data recovery circuit with compact control loop
Cheng, Y. P., Lee, Y. L., Chien, M. H. & Chang, S. J., 2016 5月 31, 2016 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2016. Institute of Electrical and Electronics Engineers Inc., 7482531. (2016 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2016).研究成果: Conference contribution
1 引文 斯高帕斯(Scopus) -
A 7-GHz, low-power, low phase-noise differential current-reused VCO utilizing a trifilar-transformer-feedback technique
Tseng, Y. R., Huang, T. H. & Wu, S. H., 2011 4月, 於: IEICE Transactions on Electronics. E94-C, 4, p. 648-653 6 p.研究成果: Article › 同行評審
1 引文 斯高帕斯(Scopus) -
A 80V output voltage boost converter with low voltage ripple for Avalanche Photodiode(APD)
Yang, Y. Y., Hsieh, C. Y., Huang, T. C., Lee, Y. H., Wang, S. W., Fan, M. Y., Du, M. J., Cheng, S. H. & Chen, K. H., 2011, 2011 IEEE International Symposium of Circuits and Systems, ISCAS 2011. p. 757-760 4 p. 5937676. (Proceedings - IEEE International Symposium on Circuits and Systems).研究成果: Conference contribution
1 引文 斯高帕斯(Scopus) -
A 9.1-10.7 GHz 10-W, 40-dB gain four-stage PHEMT MMIC power amplifier
Chu, C. K., Huang, H. K., Liu, H. Z., Lin, C. H., Chang, C. H., Wu, C. L., Chang, C. S. & Wang, Y. H., 2007 2月, 於: IEEE Microwave and Wireless Components Letters. 17, 2, p. 151-153 3 p.研究成果: Article › 同行評審
21 引文 斯高帕斯(Scopus) -
A 9.8-fJ/conv.-step FoMW8b 2.5-GS/s Single-Channel CDAC-Assisted Subranging ADC with Reference-Embedded Comparators
Wang, J. C., Li, B. Y. & Kuo, T. H., 2022, 2022 IEEE Symposium on VLSI Technology and Circuits, VLSI Technology and Circuits 2022. Institute of Electrical and Electronics Engineers Inc., p. 92-93 2 p. (Digest of Technical Papers - Symposium on VLSI Technology; 卷 2022-June).研究成果: Conference contribution
4 引文 斯高帕斯(Scopus) -
A 90nm 103.14 TOPS/W binary-weight spiking neural network CMOS ASIC for real-time object classification
Chuang, P. Y., Tan, P. Y., Wu, C. W. & Lu, J. M., 2020 7月, 2020 57th ACM/IEEE Design Automation Conference, DAC 2020. Institute of Electrical and Electronics Engineers Inc., 9218714. (Proceedings - Design Automation Conference; 卷 2020-July).研究成果: Conference contribution
18 引文 斯高帕斯(Scopus) -
A 9-21 GHz miniature monolithic image reject mixer in 0.18-μm CMOS technology
Chien, W. C., Lin, C. M., Chang, Y. H. & Wang, Y. H., 2010, 於: Progress in Electromagnetics Research Letters. 17, p. 105-114 10 p.研究成果: Article › 同行評審
5 引文 斯高帕斯(Scopus) -
A 925 MHz 1.4μW wireless energy-harvesting circuit with error-correction ASK demodulation for RFID healthcare system
Lee, S-Y., Tsai, T. M., Lai, W. C., Chang, S-J. & Tai, S., 2015 7月 27, 2015 IEEE International Symposium on Circuits and Systems, ISCAS 2015. Institute of Electrical and Electronics Engineers Inc., p. 101-104 4 p. 7168580. (Proceedings - IEEE International Symposium on Circuits and Systems; 卷 2015-July).研究成果: Conference contribution
6 引文 斯高帕斯(Scopus) -
A 9-bit 150-MS/s 1.53-mW subranged SAR ADC in 90-nm CMOS
Lin, Y. Z., Liu, C. C., Huang, G. Y., Shyu, Y. T. & Chang, S-J., 2010 10月 22, 2010 Symposium on VLSI Circuits, VLSIC 2010. p. 243-244 2 p. 5560246. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).研究成果: Conference contribution
46 引文 斯高帕斯(Scopus) -
A 9-bit 150-MS/s subrange ADC based on SAR architecture in 90-nm CMOS
Lin, Y. Z., Liu, C. C., Huang, G. Y., Shyu, Y. T., Liu, Y. T. & Chang, S. J., 2013, 於: IEEE Transactions on Circuits and Systems I: Regular Papers. 60, 3, p. 570-581 12 p., 6459552.研究成果: Article › 同行評審
64 引文 斯高帕斯(Scopus) -
A 9-bit 50 MS/s CBSC pipelined ADC using time-shifted correlated double sampling
Hsiao, P. C., Chao, I. J., Hsu, C. L., Liu, B. D., Huang, C. Y. & Chang, S. J., 2011 10月 13, 54th IEEE International Midwest Symposium on Circuits and Systems, MWSCAS 2011. 6026464. (Midwest Symposium on Circuits and Systems).研究成果: Conference contribution
2 引文 斯高帕斯(Scopus) -
AAg 2M[VO 4] 2 (A=Ba,Sr; M=Co,Ni): A series of ferromagnetic insulators
Möller, A., Amuneke, N. E., Daniel, P., Lorenz, B., De La Cruz, C. R., Gooch, M. & Chu, P. C. W., 2012 6月 20, 於: Physical Review B - Condensed Matter and Materials Physics. 85, 21, 214422.研究成果: Article › 同行評審
31 引文 斯高帕斯(Scopus) -
A and B-site substitution of the solid electrolyte LaGaO3 and LaAlO3 with the alkaline-earth oxides MgO and SrO
Chen, T. Y. & Fung, K. Z., 2004 4月 14, 於: Journal of Alloys and Compounds. 368, 1-2, p. 106-115 10 p.研究成果: Article › 同行評審
25 引文 斯高帕斯(Scopus) -
AART-BC: A sensor system for monitoring Assistive Technology use beyond the clinic
James, C. J., Amor, J. D., Holloway, C., Cheng, T. J. & Kenney, L., 2016 10月 13, 2016 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2016. Institute of Electrical and Electronics Engineers Inc., p. 3151-3154 4 p. 7591397. (Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS; 卷 2016-October).研究成果: Conference contribution
2 引文 斯高帕斯(Scopus) -
AASMP - Android application server for mobile platforms
Liu, J. H., Chen, J., Wu, Y. L. & Wang, P. L., 2013 12月 1, p. 643-650. 8 p.研究成果: Paper › 同行評審
6 引文 斯高帕斯(Scopus) -
A backpropagation algorithm with adaptive learning rate and momentum coefficient
Yu, C. C. & Liu, B-D., 2002 1月 1, p. 1218-1223. 6 p.研究成果: Paper › 同行評審
123 引文 斯高帕斯(Scopus) -
A back-propagation network for the assessment of susceptibility to rock slope failure in the eastern portion of the Southern Cross-Island Highway in Taiwan
Chen, C. H., Ke, C. C. & Wang, C. L., 2009 4月, 於: Environmental Geology. 57, 4, p. 723-733 11 p.研究成果: Article › 同行評審
36 引文 斯高帕斯(Scopus) -
A backward fast handover control scheme for mobile internet (BFH-MIPv6)
Chiang, M. S. & Huang, C. M., 2018, 於: Journal of Internet Technology. 19, 2, p. 359-367 9 p.研究成果: Article › 同行評審
2 引文 斯高帕斯(Scopus) -
A bacteria injection scheme for in situ bioaugmentation
Liu, C. S., Tom Kuo, M. C., Su, C. Y., Chen, Y. C., Cheng, W. C., Chou, C. Y., Liang, K. F., Han, Y. L. & Lin, C. H., 2013 7月 15, 於: Journal of Environmental Science and Health - Part A Toxic/Hazardous Substances and Environmental Engineering. 48, 9, p. 1079-1085 7 p.研究成果: Article › 同行評審
-
A bacterial binary toxin system that kills both insects and aquatic crustaceans: Photorhabdus insect-related toxins A and B
Wang, H. C., Lin, S. J., Wang, H-C., Kumar, R., Le, P. T. & Leu, J. H., 2023 5月, 於: PLoS pathogens. 19, 5, e1011330.研究成果: Review article › 同行評審
開啟存取1 引文 斯高帕斯(Scopus) -
A Bagged-Tree Machine Learning Model for High and Low Wind Speed Ocean Wind Retrieval From CYGNSS Measurements
Cheng, P. H., Lin, C. C. H., Morton, Y. T. J., Yang, S. C. & Liu, J. Y., 2023, 於: IEEE Transactions on Geoscience and Remote Sensing. 61, 4201910.研究成果: Article › 同行評審
-
A balancing cam mechanism for minimizing the torque fluctuation of engine camshafts
Lin, D. Y., Hou, B. J. & Lan, C. C., 2017 2月 1, 於: Mechanism and Machine Theory. 108, p. 160-175 16 p.研究成果: Article › 同行評審
18 引文 斯高帕斯(Scopus)